Resource Type

4,360 Matching Results

Results open in a new window/tab.

Fabrication of high-density cantilever arrays and through-wafer interconnects (open access)

Fabrication of high-density cantilever arrays and through-wafer interconnects

Processes to fabricate dense, dry released microstructures with electrical connections on the opposite side of the wafer are described. A 10 x 10 array of silicon and polysilicon cantilevers with high packing density (5 tips/mm<sup>2</sup>) and high uniformity (<10 µm length variation across the wafer) are demonstrated. The cantilever release process uses a deep SF<sub>6</sub>/C<sub>4</sub>F<sub>8</sub>, plasma etch followed by a HBr plasma etch to accurately release cantilevers. A process for fabricating electrical contacts through the backside of the wafer is also described. Electrodeposited resist, conformal CVD metal deposition and deep SF<sub>6</sub>/C<sub>4</sub>F<sub>8</sub> plasma etching are used to make 30 µm/side square vias each of which has a resistance of 50 m(omega).
Date: November 3, 1998
Creator: A. Harley, J.; Abdollahi-Alibeik, S.; Chow, E. M.; Kenney, T. W.; McCarthy, A. M.; McVittie, J. P. et al.
System: The UNT Digital Library
Results from an FPIX0 chip bump-bonded to an atlas pixel detector (open access)

Results from an FPIX0 chip bump-bonded to an atlas pixel detector

Results are presented of tests performed on the first pixel detector readout ASIC designed at Fermilab (FPIX0).
Date: October 1, 1998
Creator: A. Mekkaoui, D. Christian, S. Kwan, J Srage and R. Yarema
System: The UNT Digital Library
GEOTHERMAL HEAT PUMP GROUTING MATERIALS (open access)

GEOTHERMAL HEAT PUMP GROUTING MATERIALS

The thermal conductivity of cementitious grouts has been investigated in order to determine suitability of these materials for grouting vertical boreholes used with geothermal heat pumps. The roles of mix variables such as water/cement ratio, sand/cement ratio and superplasticizer dosage were measured. The cement-sand grouts were also tested for rheological characteristics, bleeding, permeability, bond to HDPE pipe, shrinkage, coefficient of thermal expansion, exotherm, durability and environmental impact. This paper summarizes the thermal conductivity, permeability, bonding and exotherm data for selected cementitious grouts. The theoretical reduction in bore length that could be achieved with the BNL-developed cement-sand grouts is examined. Finally, the FY 98 research and field trials are discussed.
Date: April 1, 1998
Creator: ALLAN,M.
System: The UNT Digital Library
THERMAL CONDUCTIVITY AND OTHER PROPERTIES OF CEMENTITIOUS GROUTS (open access)

THERMAL CONDUCTIVITY AND OTHER PROPERTIES OF CEMENTITIOUS GROUTS

The thermal conductivity and other properties cementitious grouts have been investigated in order to determine suitability of these materials for grouting vertical boreholes used with geothermal heat pumps. The roles of mix variables such as water/cement ratio, sand/cement ratio and superplasticizer dosage were measured. In addition to thermal conductivity, the cementitious grouts were also tested for bleeding, permeability, bond to HDPE pipe, shrinkage, coefficient of thermal expansion, exotherm, durability and environmental impact. This paper summarizes the results for selected grout mixes. Relatively high thermal conductivities were obtained and this leads to reduction in predicted bore length and installation costs. Improvements in shrinkage resistance and bonding were achieved.
Date: May 1, 1998
Creator: ALLAN,M.
System: The UNT Digital Library
Quasifree (e,e'p) Reactions and Proton Propagation in Nuclei (open access)

Quasifree (e,e'p) Reactions and Proton Propagation in Nuclei

The (e,e'p) reaction was studies on targets of C, Fe, and Au at momentum transfers squared Q{sup 2} of 0.6, 1.3, 1.8 and 3.3 GeV{sup 2} in a region of kinematics dominated by quasifree electron-proton scattering. Missing energy and missing momentum distributions are reasonably well described by plane wave impulse approximation calculations with Q{sup 2} and A dependent corrections that measure the attenuation of the final state protons.
Date: June 1, 1998
Creator: Abbott, David; Ahmidouch, A.; Amatuni, Ts. A.; Armstrong, C.; Arrington, J.; Assamagan, J. A. et al.
System: The UNT Digital Library
An automated vacuum gauge calibration system (open access)

An automated vacuum gauge calibration system

An automated system for calibrating vacuum gauges over the pressure range of 10{sup {minus}6} to 0.1 Pa was designed and constructed at the National Institute of Standards and Technology (NIST) for the Department of Energy (DOE) Primary Standards Laboratory at Sandia National Laboratories (SNL). Calculable pressures are generated by passing a known flow of gas through an orifice of known conductance. The orifice conductance is derived from dimensional measurements and accurate flows are generated using metal capillary leaks. The expanded uncertainty (k = 2) in the generated pressure is estimated to be between 1% and 4% over the calibration range. The design, calibration results. and component uncertainties will be discussed.
Date: April 1, 1998
Creator: Abbott, P. J. & Benner, M. S.
System: The UNT Digital Library
Optimal choice of cupola furnace nominal operating point (open access)

Optimal choice of cupola furnace nominal operating point

One of the main goals in the operation of a cupola furnace is to keep the molten iron properties within prescribed bounds while maintaining the most economical operation for the cupola. In this paper the authors present a procedure to obtain the nominal values for the manipulated process variables. The nominal values are calculated by solving a constrained nonlinear programming optimization problem. Two different optimization problems are discussed and examples for using the procedure are presented.
Date: August 1, 1998
Creator: Abdelrahman, M.A. & Moore, K.L.
System: The UNT Digital Library
Design characteristics for facilities which process hazardous particulate (open access)

Design characteristics for facilities which process hazardous particulate

Los Alamos National Laboratory is establishing a research and processing capability for beryllium. The unique properties of beryllium, including light weight, rigidity, thermal conductivity, heat capacity, and nuclear properties make it critical to a number of US defense and aerospace programs. Concomitant with the unique engineering properties are the health hazards associated with processing beryllium in a particulate form and the potential for worker inhalation of aerosolized beryllium. Beryllium has the lowest airborne standard for worker protection compared to all other nonradioactive metals by more than an order of magnitude. This paper describes the design characteristics of the new beryllium facility at Los Alamos as they relate to protection of the workforce. Design characteristics to be reviewed include; facility layout, support systems to minimize aerosol exposure and spread, and detailed review of the ventilation system design for general room air cleanliness and extraction of particulate at the source.
Date: December 1, 1998
Creator: Abeln, S.P.; Creek, K. & Salisbury, S.
System: The UNT Digital Library
Interface Characterization Techniques for 304L Stainless Steel Resistance Upset Welds (open access)

Interface Characterization Techniques for 304L Stainless Steel Resistance Upset Welds

In an effort to better characterize and classify austenitic stainless steel resistance upset welds, standard methods have been examined and alternative methods investigated. Optical microscopy yields subjective classification due to deformation obscured bond lines and individual perception. The use of specimen preparations that better reveal grain boundaries aids in substantiating optical information. Electron microscopy techniques produce quantitative information in relation to microstructural constituents. Orientation Imaging Microscopy (OIM) is a relatively new technique for obtaining objective, quantitative information pertaining to weld integrity, i.e., percent grain boundary growth across the interface.
Date: July 26, 1998
Creator: Abeln, Terri G.; Kackenko, E. Jim; Necker, Carl T. & Field, Robert D.
System: The UNT Digital Library
300 Degree C GaN/AlGaN Heterojunction Bipolar Transistor (open access)

300 Degree C GaN/AlGaN Heterojunction Bipolar Transistor

A GaN/AIGaN heterojunction bipolar transistor has been fabricated using C12/Ar dry etching for mesa formation. As the hole concentration increases due to more efficient ionization of the Mg acceptors at elevated temperatures (> 250oC), the device shows improved gain. Future efforts which are briefly summarized. should focus on methods for reducing base resistance.
Date: October 14, 1998
Creator: Abernathy, C. R.; Baca, A. G.; Cho, H.; Chow, P. P.; Han, J.; Hichman, R. A. et al.
System: The UNT Digital Library
Effect of Temperature on GaGdO/GaN Metal Oxide Semiconductor Field Effect Transistors (open access)

Effect of Temperature on GaGdO/GaN Metal Oxide Semiconductor Field Effect Transistors

GaGdO was deposited on GaN for use as a gate dielectric in order to fabricate a depletion metal oxide semiconductor field effect transistor (MOSFET). This is the fmt demonstration of such a device in the III-Nitride system. Analysis of the effect of temperature on the device shows that gate leakage is significantly reduced at elevated temperature relative to a conventional metal semiconductor field effeet transistor (MESFET) fabricated on the same GaN layer. MOSFET device operation in fact improved upon heating to 400 C. Modeling of the effeet of temperature on contact resistance suggests that the improvement is due to a reduction in the parasitic resistances present in the device.
Date: October 14, 1998
Creator: Abernathy, C. R.; Baca, A.; Chu, S. N. G.; Hong, M.; Lothian, J. R.; Marcus, M. A. et al.
System: The UNT Digital Library
W and WSi(x) Ohmic Contacts on p- And n-Type GaN (open access)

W and WSi(x) Ohmic Contacts on p- And n-Type GaN

W and WSi ohmic contacts on both p- and n-type GaN have been annealed at temperatures from 300-1000 *C. There is minimal reaction (< 100 ~ broadening of the metal/GaN interface) even at 1000 *C. Specific contact resistances in the 10-5 f2-cm2 range are obtained for WSiX on Si-implanted GaN with a peak doping concentration of- 5 x 1020 cm-3, after annealing at 950 `C. On p-GaN, leaky Schottky diode behavior is observed for W, WSiX and Ni/Au contacts at room temperature, but true ohmic characteristics are obtained at 250 - 300 *C, where the specific contact resistances are typically in the 10-2 K2-cm2 range. The best contacts for W and WSiX are obtained after 700 *C annealing for periods of 30- 120 sees. The formation of &WzN interracial phases appear to be important in determining the contact quality.
Date: October 13, 1998
Creator: Abernathy, C. R.; Cao, X. A.; Eizenberg, M.; Han, J.; Lothian, J. R.; Pearton, S. J. et al.
System: The UNT Digital Library
Reactive Ion Beam Etching of GaAs and Related Compounds in an Inductively Coupled Plasma of Cl(2)-Ar Mixture (open access)

Reactive Ion Beam Etching of GaAs and Related Compounds in an Inductively Coupled Plasma of Cl(2)-Ar Mixture

Reactive ion beam etching (RD3E) of GaAs, GaP, AIGaAs and GaSb was performed in a Cl2-Ar mixture using an Inductively Coupled Plasma (ICP) source. `The etch rates and yields were strongly affected by ion energy and substrate temperature. The RJBE was dominated by ion-assisted etching at <600 eV and by physical sputtering beyond 600 eV. The temperature dependence of the etch rates revealed three different regimes, depending on the substrate temperature: 1) sputtering-etch limited, 2) products-resorption limited, and 3) mass-transfer limited regions. GaSb showed the overall highest etch rates, while GaAs and AIGaAs were etched at the same rates. The etched features showed extremely smooth morphologies with anisotropic sidewalls.
Date: November 23, 1998
Creator: Abernathy, C. R.; Hahn, Y. B.; Hays, D.; Lambers, E. S.; Lee, J. W.; Pearton, S. J. et al.
System: The UNT Digital Library
Inductively Coupled Plasma Etching of III-V Semiconductors in BCl(3)-Based Chemistries: Part 1: GaAs, GaN, GaP, GaSb and AlGaAs (open access)

Inductively Coupled Plasma Etching of III-V Semiconductors in BCl(3)-Based Chemistries: Part 1: GaAs, GaN, GaP, GaSb and AlGaAs

BC13, with addition of Nz, Ar or Hz, is found to provide smooth anisotropic pattern transfer in GaAs, GaN, GaP, GaSb and AIGriAs under Inductively Coupled Plasma conditions, Maxima in the etch rates for these materials are observed at 33% N2 or 87$'40 Hz (by flow) addition to BC13, whereas Ar addition does not show this behavior. Maximum etch rates are typically much higher for GaAs, Gap, GaSb and AIGaAs (-1,2 @rein) than for GaN (-0.3 ymu'min) due to the higher bond energies of the iatter. The rates decrease at higher pressure, saturate with source power (ion flux) and tend to show maxima with chuck power (ion energy). The etched surfaces remain stoichiometric over abroad range of plasma conditions.
Date: December 4, 1998
Creator: Abernathy, C.R,; Han, J.; Hobson, W.S.; Hong, J.; Lambers, E.S.; Lee, J.W. et al.
System: The UNT Digital Library
UV-Photoassisted Etching of GaN in KOH (open access)

UV-Photoassisted Etching of GaN in KOH

The etch rate of GaN under W-assisted photoelectrochemical conditions in KOH solutions is found to be a strong function of illumination intensity, solution molarity, sample bias and material doping level. At low e-h pair generation rates, grain boundaries are selectively etched, while at higher illumination intensities etch rates for unintentionally doped (n - 3x 10^12Gcm-3) GaN are 2 1000 .min-l. The etching is diffusion limited under our conditions with an activation energy of - 0.8kCal.mol-1. The etched surfaces are rough, but retain their stoichiometry. PEC etching is found to selectively reveal grain boundaries in GaN under low light illumination conditions. At high lamp powers the rates increase with sample temperature and the application of bias to the PEC cell, while they go through a maximum with KOH solution molarity. The etching is diffusion-limited, producing rough surface morphologies that are suitable in a limited number of device fabrication steps. The surfaces however appear to remain relatively close to their stoichiometric composition.
Date: November 12, 1998
Creator: Abernathy, C.R.; Auh, K.H.; Cho, H.; Donovan, S.M.; Han, J.; Lambers, E.S. et al.
System: The UNT Digital Library
III-Nitride Dry Etching - Comparison of Inductively Coupled Plasma Chemistries (open access)

III-Nitride Dry Etching - Comparison of Inductively Coupled Plasma Chemistries

A systematic study of the etch characteristics of GaN, AlN and InN has been performed with boron halides- (BI{sub 3} and BBr{sub 3}) and interhalogen- (ICl and IBr) based Inductively Coupled Plasmas. Maximum etch selectivities of -100:1 were achieved for InN over both GaN and AlN in the BI{sub 3} mixtures due to the relatively high volatility of the InN etch products and the lower bond strength of InN. Maximum selectivies of- 14 for InN over GaN and >25 for InN over AlN were obtained with ICl and IBr chemistries. The etched surface morphologies of GaN in these four mixtures are similar or better than those of the control sample.
Date: November 10, 1998
Creator: Abernathy, C.R.; Cho, H.; Donovan, S.M.; Hahn, Y-B.; Han, J.; Hays, D.C. et al.
System: The UNT Digital Library
Comparison of ICl- and IBr-Based Plasma Chemistries for Inductively Coupled Plasma Etching of GaN, InN and AlN (open access)

Comparison of ICl- and IBr-Based Plasma Chemistries for Inductively Coupled Plasma Etching of GaN, InN and AlN

A parametric study of the etch characteristics of GaN, AIN and InN has been earned out with IC1/Ar and IBr/Ar chemistries in an Inductively Coupled Plasma discharge. The etch rates of InN and AIN were relatively independent of plasma composition, while GaN showed increased etch rates with interhalogen concentration. Etch rates for all materials increased with increasing rf chuck power, indicating that higher ion bombardment energies are more efficient in enhancing sputter resorption of etch products. The etch rates increased for source powers up to 500 W and remained relatively thereafter for all materials, while GaN and InN showed maximum etch rates with increasing pressure. The etched GaN showed extremely smooth surfaces, which were somewhat better with IBr/Ar than with IC1/Ar. Maximum selectivities of- 14 for InN over GaN and >25 for InN over AIN were obtained with both chemistries.
Date: December 1, 1998
Creator: Abernathy, C.R.; Cho, H.; Donovan, S.M.; Hahn, Y.B.; Han, J.; Hays, D.C. et al.
System: The UNT Digital Library
Inductively Coupled Plasma Etching in ICl- and IBr-Based Chemistries: Part I. GaAs, GaSb and AlGaAs (open access)

Inductively Coupled Plasma Etching in ICl- and IBr-Based Chemistries: Part I. GaAs, GaSb and AlGaAs

High density plasma etching of GaAs, GaSb and AIGaAs was performed in IC1/Ar and lBr/Ar chemistries using an Inductively Coupled Plasma (ICP) source. GaSb and AlGaAs showed maxima in their etch rates for both plasma chemistries as a function of interhalogen percentage, while GaAs showed increased etch rates with plasma composition in both chemistries. Etch rates of all materials increased substantially with increasing rf chuck power, but rapidly decreased with chamber pressure. Selectivities > 10 for GaAs and GaSb over AlGaAs were obtained in both chemistries. The etched surfaces of GaAs showed smooth morphology, which were somewhat better with IC1/Ar than with IBr/& discharge. Auger Electron Spectroscopy analysis revealed equi-rate of removal of group III and V components or the corresponding etch products, maintaining the stoichiometry of the etched surface.
Date: November 23, 1998
Creator: Abernathy, C.R.; Cho, H.; Hahn, Y.B.; Hays, D.C.; Hobson, W.S.; Jung, K.B. et al.
System: The UNT Digital Library
Inductively Coupled Plasma Etching in ICl- and IBr-Based Chemistries: Part II. InP, InSb, InGaP and InGaAs (open access)

Inductively Coupled Plasma Etching in ICl- and IBr-Based Chemistries: Part II. InP, InSb, InGaP and InGaAs

A parametric study of Inductively Coupled Plasma etching of InP, InSb, InGaP and InGaAs has been carried out in IC1/Ar and IBr/Ar chemistries. Etch rates in excess of 3.1 prrdmin for InP, 3.6 prnh-nin for InSb, 2.3 pm/min for InGaP and 2.2 ~rrdmin for InGaAs were obtained in IBr/Ar plasmas. The ICP etching of In-based materials showed a general tendency: the etch rates increased substantially with increasing the ICP source power and rf chuck power in both chemistries, while they decreased with increasing chamber pressure. The IBr/Ar chemistry typically showed higher etch rates than IC1/Ar, but the etched surface mophologies were fairly poor for both chemistries.
Date: November 23, 1998
Creator: Abernathy, C.R.; Cho, H.; Hahn, Y.B.; Hays, D.C.; Hobson, W.S.; Jung, K.B. et al.
System: The UNT Digital Library
Effect of Inert Gas Additive Species on Cl(2) High Density Plasma Etching of Compound Semiconductors: Part 1. GaAs and GaSb (open access)

Effect of Inert Gas Additive Species on Cl(2) High Density Plasma Etching of Compound Semiconductors: Part 1. GaAs and GaSb

The role of the inert gas additive (He, Ar, Xe) to C12 Inductively Coupled Plasmas for dry etching of GaAs and GaSb was examined through the effect on etch rate, surface roughness and near-surface stoichiometry. The etch rates for both materials go through a maximum with Clz 0/0 in each type of discharge (C12/'He, C12/Ar, C12/Xc), reflecting the need to have efficient ion-assisted resorption of the etch products. Etch yields initially increase strongly with source power as the chlorine neutral density increases, but decrease again at high powers as the etching becomes reactant-limited. The etched surfaces are generally smoother with Ax or Xe addition, and maintain their stoichiometry.
Date: December 23, 1998
Creator: Abernathy, C.R.; Cho, H.; Hahn, Y.B.; Hays, D.C.; Jung, K.B.; Pearton, S.J. et al.
System: The UNT Digital Library
Effect of Inert Gas Additive Species on Cl(2) High Density Plasma Etching of Compound Semiconductors: Part II. InP, InSb, InGaP and InGaAs (open access)

Effect of Inert Gas Additive Species on Cl(2) High Density Plasma Etching of Compound Semiconductors: Part II. InP, InSb, InGaP and InGaAs

The effects of the additive noble gases He, Ar and Xe on chlorine-based Inductively Coupled Plasma etching of InP, InSb, InGaP and InGaAs were studied as a function of source power, chuck power and discharge composition. The etch rates of all materials with C12/He and C12/Xe are greater than with C12/Ar. Etch rates in excess of 4.8 pndmin for InP and InSb with C12/He or C12/Xe, 0.9 pndmin for InGaP with C12/Xe, and 3.8 prdmin for InGaAs with Clz/Xe were obtained at 750 W ICP power, 250 W rf power, - 1570 C12 and 5 mTorr. All three plasma chemistries produced smooth morphologies for the etched InGaP surfaces, while the etched surface of InP showed rough morphology under all conditions.
Date: December 17, 1998
Creator: Abernathy, C.R.; Cho, H.; Hahn, Y.B.; Hays, D.C.; Jung, K.B.; Pearton, S.J. et al.
System: The UNT Digital Library
Damage to III-V Devices During Electron Cyclotron Resonance Chemical Vapor Deposition (open access)

Damage to III-V Devices During Electron Cyclotron Resonance Chemical Vapor Deposition

GaAs-based metal semiconductor field effect transistors (MESFETS), heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs) have been exposed to ECR SiJ&/NH3 discharges for deposition of SiNX passivating layers. The effect of source power, rf chuck power, pressure and plasma composition have been investigated. Effects due to both ion damage and hydrogenation of dopants are observed. For both HEMTs and MESFETS there are no conditions where substantial increases in channel sheet resistivity are not observed, due primarily to (Si-H)O complex formation. In HBTs the carbon-doped base layer is the most susceptible layer to hydrogenation. Ion damage in all three devices is minimized at low rf chuck power, moderate ECR source power and high deposition rates.
Date: October 14, 1998
Creator: Abernathy, C.R.; Hahn, Y.B.; Hays, D.C.; Johnson, D.; Lee, J.W.; MacKenzie, K. et al.
System: The UNT Digital Library
Inductively Coupled Plasma Etching of III-V Semiconductors in BCl(3)-Based Chemistries: Part II: InP, InGaAs, InGaAsP, InAs and AllnAs (open access)

Inductively Coupled Plasma Etching of III-V Semiconductors in BCl(3)-Based Chemistries: Part II: InP, InGaAs, InGaAsP, InAs and AllnAs

A parametric study of etch rates and surface morphologies of In-containing compound semiconductors (InP, InGaAs, InGaAsP, InAs and AlInAs) obtained by BClj-based Inductively Coupled Plasmas is reported. Etch rates in the range 1,500-3,000 &min. are obtained for all the materials at moderate source powers (500 W), with the rates being a strong function of discharge composition, rf chuck power and pressure. Typical root-mean-square surface roughness of-5 nm were obtained for InP, which is worse than the values obtained for Ga-based materials under the same conditions (-1 run). The near surface of etched samples is typically slightly deficient in the group V element, but the depth of this deficiency is small (a few tens of angstroms).
Date: December 2, 1998
Creator: Abernathy, C.R.; Han, J.; Hobson, W.S.; Hong, J.; Lambers, E.S.; Lee, J.W. et al.
System: The UNT Digital Library
Group-III Nitride Etch Selectivity in BCl(3)/Cl(2) ICP Plasmas (open access)

Group-III Nitride Etch Selectivity in BCl(3)/Cl(2) ICP Plasmas

Patterning the group-IH nitrides has been challenging due to their strong bond energies and relatively inert chemical nature as compared to other compound semiconductors. Plasma etch processes have been used almost exclusively to pattern these films. The use of high-density plasma etch systems, including inductively coupled plasmas (ICP), has resulted in relatively high etch rates (often greater than 1.0 pmhnin) with anisotropic profiles and smooth etch morphologies. However, the etch mechanism is often dominated by high ion bombardment energies which can minimize etch selectivity. The use of an ICP-generated BCl~/C12 pkyma has yielded a highly versatile GaN etch process with rates ranging from 100 to 8000 A/rnin making this plasma chemistry a prime candidate for optimization of etch selectivity. In this study, we will report ICP etch rates and selectivities for GaN, AIN, and InN as a function of BCl~/Clz flow ratios, cathode rf-power, and ICP-source power. GaN:InN and GaN:AIN etch selectivities were typically less than 7:1 and showed the strongest dependence on flow ratio. This trend maybe attributed to faster GaN etch rates observed at higher concentrations of atomic Cl which was monitored using optical emission spectroscopy (OES). ~E~~~~f:~ INTRODUCTION DEC j 4898 Etch selectivi
Date: December 9, 1998
Creator: Abernathy, C.R.; Han, J.; Hong, J.; Lester, L.F.; Pearton, S.J.; Shul, R.J. et al.
System: The UNT Digital Library